AO_438

MAGGIO 2022 AUTOMAZIONE OGGI 438 | 45 • PUBBLIREDAZIONALE • Come minimizzare i consumi mantenendo il miglior PPA Per numerosi progetti realizzati sui nodi tecnologici più spinti, le caratte- ristiche low-power rappresentano oggi l’obiettivo primario. Poiché natu- ralmente nessuno è disposto ad accettare in cambio una riduzione delle prestazioni, gli strumenti di place-and-route (P&R) mirano a ottenere la minimizzazione dei consumi senza sacrificare le prestazioni. Siemens offre a tale scopo il proprio strumento di P&R Aprisa, dedicato alla progettazione low-power sia di tipo gerarchico sia a livello di blocco. Il software Aprisa, incentrato sul routing a livello di dettaglio, utilizza un modello dati unificato, inmodo da evitare qualsiasi conversione dei dati o dei loro formati tra i diversi step del flusso e consentendo di mantenere le informazioni reali relative al routing e alle parassitiche sempre disponibili lungo tutto l’avanzamento del progetto all’interno del flusso. Per mag- giori informazioni sulle soluzioni low-power Aprisa è possibile consultare il technical paper dedicato. La realizzazione degli obiettivi di low-power nel corso del P&R dipende da due fattori chiave: la capacità del software di gestire efficacemente molteplici domini di potenza e il tipo di ottimizzazioni che il software effettua lungo tutto il flusso. Il supporto di molteplici domini di potenza garantisce che tutti gli ele- menti necessari per un progetto low-power vengano inclusi e utilizzati nel pieno rispetto delle specifiche low-power definite. Aprisa inserisce celle di gestione della potenza, instradamenti verso pin secondari di power e di ground, e instradamenti verso la power grid all’interno delle voltage islands . Aprisa supporta il formato UPF (United Power Format) e include un ap- posito strumento denominato Power Domain Checker, per la verifica del set up relativo alla potenza. Questo tool individua e segnala tutti gli errori legati ai domini di potenza, senza la necessità di ricorrere a strumenti esterni di verifica. Per consentire di raggiungere gli obiettivi relativi ai consumi senza sa- crificare le prestazioni, la metodologia PowerFirst di Aprisa imposta la massima priorità sulla minimizzazione dei consumi, ottenuta mediante tecniche di placement e di routing di tipo activity-based, al fine di ridurre la potenza dinamica. Aprisa realizza dunque innanzitutto la migliore ge- stione possibile della potenza, provvedendo successivamente a ottimiz- zare il timing. Questo approccio si rivela più efficace rispetto al tentativo di ottenere un miglioramento dei consumi solo dopo che le celle più voraci di potenza sono già state utilizzate all’interno del progetto, per soddisfare gli obiettivi di timing. Le tecniche PowerFirst includono: » Aggiustamentodelle transizioni di clock per l’ottimizzazione della potenza » Accettazione, nel corso della CTS (Clock Tree Synthesis), di piccoli com- promessi di timing a fronte di importanti riduzioni della potenza » Merging e de-merging dei registri multi-bit » Analisi eottimizzazione LVF complete, atte a ridurre la sovra-progettazione L’ottimizzazione PowerFirst si è dimostrata capace di ridurre la potenza interna, di switching e di dispersione anche dei progetti più critici ri- spetto ai consumi, minimizzando comunque i compromessi relativi al timing. In un progetto industriale, l’interfaccia PHY di una DDR a 7 nm con circa 1.3 M istanze e una frequenza di 1 GHz, Aprisa PowerFirst ha ridotto la potenza totale del 16% rispetto a quanto ottenuto con Aprisa in modalità timing-only, mantenendo al contempo il rispetto dei vincoli di timing. I risultati ottenuti da Aprisa risultano inoltre migliori anche di quelli realizzati con le più diffuse soluzioni di P&R con- correnti. Siemens EDA Electronic Design Automation - https://eda.sw.siemens.com/ Il software di place-and-route Aprisa di Siemens sovverte le regole della progettazione low-power Risultati al sign-off di Aprisa PowerFirst relativamente alla potenza interna, di switching e di dispersione Gestione della capacità di accoppiamento a diversi stadi di progettazione, mediante ottimizzazioni di tipo activity-driven Soluzioni low-power Aprisa AUTOMAZIONE OGGI

RkJQdWJsaXNoZXIy Mzg4NjYz